16.07.2014 MicroBlaze

Материал из SRNS
Перейти к: навигация, поиск

Некоторые моменты

  • Для возможности записи программы процессора в имеющийся bit файл необходимо создать bmm-файл
    • bmm-файл содержит информацию о физическом местоположении блоков памяти BRAMs, выделенных под программу процессора. Утилитка data2mem (можно пользоваться xilinx SDK>Xilinx Tools>Program FPGA) из bit, bmm и бинарника делает конечный bit для прошивки ПЛИС
  • Для создания bmm надо в translate добавить
-bm ipcore_dir/microblaze_mcs_v1_4.bmm

с актуальным путем до bmm файла, созданным в coregen. После разводки появится <name>_bd.bmm, который подключается к data2mem

  • В исходном файле bmm необходимо прописать актуальные пути до примитивов RAMB!
    • Без ключа -bm запускаем MAP и открываем FPGAeditor и смотрим путь, исправляем при необходимости bmm
  • В SDK необходимо создать new>application project а затем new>board support package!
  • В xilinx tools>program FPGA необходимо в software canfiguration выбрать свой .elf файл!

[ Хронологический вид ]Комментарии

(нет элементов)

Войдите, чтобы комментировать.

Персональные инструменты
Пространства имён

Варианты
Действия
SRNS Wiki
Рабочие журналы
Приватный файлсервер
QNAP Сервер
Инструменты